Lam Research Corporation History



Address:
4650 Cushing Parkway
Fremont, California 94538
U.S.A.

Telephone: (510) 659-0200
Fax: (510) 572-6454

Website:
Public Company
Incorporated: 1980
Employees: 3,300
Sales: $648 million (1999)
Stock Exchanges: NASDAQ
Ticker Symbol: LRCX
NAIC: 333295 Semiconductor Machinery Manufacturing

Company Perspectives:

Lam Research is dedicated to the success of our customers by being the world class provider of innovative productivity solutions to the semiconductor industry. Key Dates:

Key Dates:

1980:
David Lam founds Lam Research Corporation.
1984:
Lam research makes its first public stock offering.
1985:
David Lam leaves Lam Research.
1990:
Lam Research introduces its Integrity system.
1992:
Company debuts its Transformer Coupled Plasma (TCP) technology.
1994:
Lam Research receives grant from United States Display Consortium.
1997:
Lam Research merges with OnTrak Systems, Inc.

Company History:

Lam Research Corporation is a leading supplier of manufacturing equipment to the global semiconductor industry. The company invents and produces chip-making machinery in two key areas: etching machines, which cut minuscule circuitry patterns onto silicon wafers; and chemical mechanical planarization (CMP) cleaning systems, which clean and remove particles from the surface of semiconductors. Lam's patented etching technology--Transformer Coupled Plasma&mdash′ovided chipmakers with a high level of detail etching at a low cost, and solidified Lam's position in the industry.

David Lam Forms Lam Research in 1980

Lam Research was originally the brainchild of David Lam, a highly intelligent and restless player in the high-tech hotbed known as Silicon Valley. The son of Chinese refugees, Lam graduated from a Hong Kong high school and received a scholarship to study at the University of Toronto. He majored in physics and engineering and, at age 24, enrolled in Massachusetts Institute of Technology to study nuclear engineering. Because of a lack of funding for nuclear programs, Lam instead received a doctorate in chemical engineering with an emphasis in plasma, a specialty that would benefit him in the semiconductor industry.

Lam took a job in the early 1970s at Texas Instruments working with emerging semiconductor plasma technology. The semiconductor industry was still young; Bell Laboratories had only introduced the solid-state transistor in 1947, and a significant demand for chips had not emerged until the 1960s. Importantly, Intel Corp.'s introduction of the memory integrated circuit in 1971 spawned a plethora of opportunities in the U.S. semiconductor industry. True to his restless nature, Lam switched jobs several times during the 1970s, working for Xerox, Hewlett Packard, and finally as a salesman for Plasma-Therm.

Lam left Plasma-Therm in 1980 to form Lam Research Corp. With a loan from his mother, he developed plans to build his own prototype plasma-etching system, a technology used in the semiconductor, or chip, manufacturing process. Chipmaking entails a four-step procedure: 1)deposition of thin film on a (usually silicon) wafer; 2) impurity doping, when impurities are introduced that control conductivity; 3) lithographic patterning, which creates the geometric features and layout of the circuit; and 4) etching, which removes the film coating material to reveal the layout patterned in the lithographic process.

Lam used the start-up money from his mother to market his idea to venture capitalists. Citing his mastery of cutting-edge plasma-etching technology and a decade of sales and management experience, Lam was able to attract $800,000 in capital during his first year. Amazingly, by 1983 Lam Research was selling chip manufacturing systems and was sustaining a steady cash flow. 'David had a great combination of skills in putting the company together, and he was always able to come up with financing in times of adversity,' recalled Tom Nicoletti, Lam's chief financial officer during the mid-1980s, in a June 1990 issue of San Francisco Business Times. 'He was outstanding in position and strategy, and he had an intuitive understanding of the industry,' Nicoletti added.

Although Lam Research outshone many of its competitors during the early 1980s, its success was also attributable to the vast increase in semiconductor sales during the decade. Despite huge gains by Japanese companies during the 1980s that seriously weakened U.S. dominance of the global market, sales of chip manufacturing equipment grew strongly because of flourishing demand. Besides the massive personal computer market, chips were being integrated into products ranging from automobiles and stereos to dishwashers and telephones. Lam Research leveraged the demand growth by offering cutting-edge products and service.

Lam went public in 1984, garnering a hefty $20 million from the sale of stock. However, he was still not content staying in one place for any length of time. Just five years after founding the company that bore his name, Lam walked away from the highly successful venture to accept a position with Link Technologies; he would soon shift gears completely, trying his hand at developing computer software. The company, however, remained in excellent hands. In fact, the unprecedented growth that Lam Research would achieve in the coming decade would be a testament to the depth and proficiency of the management team that built a strong foundation for Lam Research.

The semiconductor manufacturing equipment industry fell on relatively rough times during the middle and late 1980s. Although demand for chip-making equipment continued to increase, U.S. producers experienced continuously rising pressure from efficient Japanese firms that were dominating the market for high-volume, commodity like chip manufacturing systems. Japan increased its share of the world chip machine market from almost nothing in the late 1970s to nearly 50 percent by the late 1980s--U.S. producers supplied the remainder of demand. As a result, U.S. suppliers regrouped during the late 1980s by boosting productivity and concentrating on the development of high-volume, proprietary manufacturing technologies that they believed would benefit them in the 1990s.

Lam Research managed to prosper during the turbulent late 1980s by focusing on technological innovation, global expansion, market penetration, quality, and customer service. Its technological strength was achieved through heavy spending on research and development, which averaged about ten percent of revenues, and the cultivation of a forward-thinking development team. Importantly, it broadened its product focus to include deposition equipment, another high growth segment in the service equipment industry. Of particular note was the development of the breakthrough Integrity system, a chemical vapor deposition (CVD) system which integrated several manufacturing steps into a single process, thereby reducing production time and costs. Unveiled in 1990, the system won the R & D Magazine top product innovation award in 1991.

Expansion During the Late 1980s and Early 1990s

Lam's strategy of global expansion during the late 1980s and early 1990s emphasized the Pacific Rim and Europe. Since selling its first systems to Asian buyers in 1983, Lam had pursued a strategy of global growth, garnering about 50 percent of its revenues from overseas sales by the early 1990s. Of importance was its success in Japan. Lam entered a partnership with Japan's Sumitomo Metal Industries, Ltd. (SMI) in 1987 to help its renowned Rainbow etch product line in Japan. The two companies eventually stepped up joint research and marketing efforts. Lam opened its Lam Technology Center, a wholly owned subsidiary, near Tokyo in 1991 to support its increased activities in that region.

Thinking ahead, Lam management had long been pursuing growth in smaller markets, such as Taiwan and Korea. In fact, Lam had concentrated on Taiwan since the mid-1980s but had also opened customer support centers throughout Europe, Japan, the United States, and several Asian countries. By the early 1990s, Lam had a strong foothold in such burgeoning markets as Korea, Singapore, and Taiwan, and it was beginning to set its sights on growing demand in Malaysia, China, Israel, and several other emerging markets. By the early 1990s, Lam was engaged in, or considering, the establishment of development/demonstration or production facilities in Japan, Korea, Taiwan, and several other markets.

In addition to technological leadership and global expansion, Lam's insistence on quality and customer satisfaction bolstered its bottom line during the late 1980s and early 1990s. Its accomplishments in this area were evidenced by its attainment of the coveted VLSI Research Top Ten Award nine years in a row after the award's inception in 1988. VLSI Research Inc. bestowed the award on only ten recipients after surveying 35,000 equipment users worldwide. The award is based primarily on customer satisfaction and product quality. 'Lam's philosophy has always been to deliver equipment that works and to take responsibility for keeping it operating at optimum performance,' said Dan Hutcheson, president of VLSI, adding 'It is this philosophy that has led to Lam's obvious success in customer satisfaction.'

Astounding Profits in the Early 1990s

Lam was able to parlay its competencies into solid profit and revenue gains by the early 1990s, despite a global recession that had stumped many of its competitors. In 1991, in fact, Lam earned $6.1 million from $144 million in sales, 44 percent of which came from overseas shipments; however, this followed a loss of $5.8 million in 1990. Earnings topped $10 million from 1992 sales of $171 million, though, as the industry began to emerge from its doldrums. In addition to the improved economy, Lam and its U.S. counterparts were benefitting from a general revival in the competitiveness of the U.S. semiconductor equipment industry. Initiatives of the middle and late 1980s began to pay off as U.S. producers increased productivity and took the lead in important new technologies.

As of a result of steady growth, Lam's workforce swelled to about 1,500 by the early 1990s, shadowing a rise in its production capacity. To house its growing operations, Lam announced plans in 1991 to expand its facilities by 58,000 square feet. It was already using more than 150,000 square feet and had the option of expanding onto a 50,000-square-foot parcel adjacent to its new location. In addition, in 1992 Lam applied for a 71,000-square-foot space in Korea, on which it planned to build an assembly plant. Reflecting its optimism, Lam also requested permission in its application to eventually expand the plant to as much as ten times that size.

Lam's success during the early 1990s was the direct result of its savvy management team, a collection of thinkers and doers gathered from all corners of the industry. For example, in 1992, David Lam transferred his responsibilities, becoming chief scientist, and Roger Emerick was recruited in as president to grow the company further. Dennis Key served as vice-president of domestic sales before assuming leadership of global sales in 1992. Key brought more than 20 years of industry experience to his new post. Augmenting Key's efforts was Way Tu, head of Lam's Asian operations. Tu had been with Lam since 1983. Prior to that, the Stanford graduate had served a four-year stint with a leading semiconductor producer. Key, Tu, and other noted industry talents reported to chip-making veteran Roger Emerick, chief executive officer of the company.

Research, development, and marketing efforts implemented by Lam's talented management group during the 1980s also began to bear fruit in the early 1990s, as the company introduced breakthrough etch and deposition technologies that were expected to reap big profits throughout the 1990s. After unveiling its Integrity CVD system in 1990, Lam launched its TCP 9400 polysilicon etch system, which was the first product to integrate Lam's patented Transformer Coupled Plasma (TCP) technology that it introduced in May 1992. The second product in the series was the TCP 9600 metal etch system. The new TCP systems utilized advanced plasma-etch technology that was expected to allow greater production efficiency, chip quality, and chip uniformity.

In 1993, Lam released several products based on next generation technologies. The two new TCP products generated $33 million in sales in less than one year. Lam also introduced its new Epic system, a high-density CVD system offering capabilities no other system was capable of providing. These new products were helping the company start to achieve its stated goal of becoming a strong player in the deposition market. Management cited this goal as imperative to its survival in the increasingly integrated industry. 'We are not doing this just to become a giant company,' Emerick explained in the January 28, 1994, issue of San Francisco Business Times.

Because of its product introductions and sales growth in established product lines, Lam increased its sales 55 percent in fiscal 1993 to an impressive $265 million. Net income, moreover, rose 99 percent to nearly $19 million. The company achieved the rank of the leading manufacturer of etching equipment and grew to become the fourth-largest producer of semiconductor equipment. 'This has been a banner year for Lam,' Emerick declared in Lam's year-end results, posted on Business Wire on August 10, 1993. 'We have benefitted from the semiconductor industry's strong growth in recent months, as well as from the market share gains made over the last several years,' Emerick wrote.

Buoyed by these escalating profits, Lam expanded its operations in 1994. The deal was the second of its type in the history of semiconductor fabrication industry. In addition to dedicating about 15 percent of its annual sales to research an development, Lam received a $6.7 million grant from the United States Display Consortium (USDC) in June 1994 to develop new etching devices for the manufacture of flat-panel screen displays. Flat-panel screens were used in a variety of applications, including notebook personal computers and display screens in cockpits and video phones. While demand for flat-panel displays was growing quickly--and was expected to increase even more by the end of the century--Japanese companies controlled over 95 percent of the market in 1993. Lam sought to gain entry into this lucrative area.

The company grew physically to accommodate its new ventures as well. In 1994, Lam announced that it would hire 400 workers and build a new sales and service office in San Jose, California. Moreover, Lam planned to expand its existing offices in Massachusetts and Phoenix, as well as open additional offices in Austin, Texas, and Vancouver, Washington. The construction of a new manufacturing facility in Korea was also slated for 1995. 1994 profits doubled, and sales climbed 86 percent to break $493 million.

A Turbulent Industry in the Late 1990s

As semiconductors played a greater role in more everyday products, such as cars and televisions, the entire industry expanded dramatically, growing 77 percent in 1995. Anticipating continued growth, Lam aimed to hire an additional 1,500 workers between December 1995 and July 1997. These plans were interrupted, however, when the semiconductor market slumped badly in 1996, due in large part to declining personal computer sales. Chip manufacturers postponed building new factories, and since Lam stocked such factories, its sales fell. In response, Lam laid off over 500 employees in August 1996.

The industry rebounded quickly from this downturn, and Lam made a significant acquisition in March, 1997 when it merged with OnTrak Systems Inc., a chip equipment manufacturer that specialized in chemical mechanical planarization (CMP) cleaning. With OnTrak's expertise in CMP--which involved cleaning the silicon wafer precisely so that multiple interconnected levels could be added--Lam could offer its clients a wider range of equipment and services. An additional consequence of the acquisition was that OnTrak's chairman and chief executive officer, James Bagley, assumed the helm at Lam.

Successful product launches augmented Lam's most recent acquisition. In 1996 Lam's grant from USDC came to fruition with its debut of Continuum, the company's etch equipment designed to manufacture flat panel displays. Industry Week magazine named the equipment one of the year's 'Top 25 Technologies.' In 1997, Lam introduced two new etching systems, the TCP 9100 oxide etch and the TCP 9600PTX metal etch. The company's CVD technologies continued to advance, as well.

However, another downturn in the semiconductor market, coupled with a financial collapse in several Asian nations, crippled Lam's sales. The company reported a net loss of $33.6 million in 1997. The following year brought additional bad news. In February 1998, Lam was forced to lay off 14 percent of its workforce. A scant four months later 20 percent of its global workforce was cut, and 500 more employees lost their jobs in November. After consolidating its California manufacturing operations, Lam closed its plants in South Korea and Massachusetts. Moreover, the company abandoned its CVD business. The result of this massive restructuring was a net loss of $145 million in 1998.

The company was undeterred. In an effort to return to profitability, Lam refocused on its etching and CMP operations in 1999. Despite its many setbacks, its future looked positive. The semiconductor industry was notoriously cyclical. Lam had weathered the storm and remained the fourth-largest producer of chip-making technologies.

Principal Subsidiaries: OnTrak Systems, Inc.

AlliedSignal Inc.; ASM International N.V.; Canon Inc.; Ebara Corporation; Genus, Inc.; Hitachi, Ltd.; Tokyo Electron Limited; Trikon Technologies, Inc.

Further Reading:

  • Barry, David, and James S. Goldman, 'Lam Research Inks Deal with Devcon Principals,' Business Journal-San Jose, October 28, 1991, p. 3.
  • Carlsen, Clifford, 'David Lam's Career Path Winds to Presidential Commission Spot,' San Francisco Business Times, June 18, 1990, p. 12.
  • ------, 'Lam Breaks Away from the Flock,' San Francisco Business Times, January 28, 1994, Sec. 2, p. 5A.
  • ------, 'Lam to Open Asian Offices,' San Francisco Business Times, March 20, 1992, p. 4.
  • Evenhuis, Henk, 'Lam Reports Year-End Results; Record Revenues and Profits for Third Straight Year,' Business Wire, August 10, 1993.
  • Goldman, James S., 'Chip Boom to Boost Suppliers in '93,' Business Journal--San Jose, p. 1.
  • Hardy, Quentin, 'Lam Research to Merge with OnTrak in Stock Swap,' Wall Street Journal, March 25, 1997.
  • Hayes, Mary, 'Applied and Lam May Build in Korea,' Business Journal--San Jose, April 26, 1993, p. 1.
  • ------, 'Lam Research Jumping into Flat-Panel Display Equipment,' Business Journal-San Jose, September 20, 1993, p. 5.
  • 'Lam Attains Number One Position in Worldwide Dry Etch Market,' Business Wire, January 26, 1991.
  • 'Lam Gets Flat-Panel Grant Awarded,' San Jose Mercury News, June 23, 1994.
  • 'Lam Launches Breakthrough Etch Technology,' Business Wire, May 11, 1992.
  • 'Lam Research Clinches $60 Million Sale,' Oakland Tribune (California), May 6, 1994.
  • 'Lam To Hire 1500 Locally,' San Jose Mercury-News, December 14, 1995.
  • McLennan, Karen, and Carolyn Schwartz, 'Lam Opens Technology Center in Japan; Names Vice President to Head New Operation,' Business Wire, June 4, 1991.
  • 'Lam Listed Among World's 10 Best; Receives VLSI Research Award for Third Year Running,' Business Wire, May 21, 1991.
  • Takahashi, Dean, 'Chip Equipment Makers' Gathering Won't Be a Picnic,' Wall Street Journal, July 13, 1998.
  • ------, 'Makers of Chip Equipment Feel Pain of Slow-Down,' Wall Street Journal, August 14, 1996.

Source: International Directory of Company Histories, Vol. 31. St. James Press, 2000.